您的位置 首页 java

芯片验证中SV与C或C++之间DPI调用的两种调用方法

我们芯片验证的过程中,会遇到verilog或者system verilog调用C/C++编写的函数,常用的方法是,通过 import 或者 export 的方法直接调用原始函数,这一种方法最常见。今天我给大家讲述另一种调用方法,具体如下:

假如说,你为了便于版本管理或者出于保密或者私心,你不想让其他人看到你原始函数的写法,但又不得不让别人调用你的函数来做验证的话,那么你可以将你的C/C++的文件编译成一个中间文件,这里主要指动态连接库,在linux或者unix的环境中,通常使用 gcc 或者 g++ 进行编译,生成.so的文件用来提供给使用者。具体命令如下:

gcc -fPIC -share -o libdpi.so adder.c -I$CDS_INST_DIR/tools/Inca/ include

以上的意思是将addr.c这个文件编译成libdpi.so 动态链接库文件 ,addr.c中定义了一个c函数int add(int a,int b,int c)。现在在sv的testbench中要通过调用add怎么调用呢?其实调用方式依然不变,如下图所示:

之后,要进行仿真,这里采用cadence的incisive仿真器。

仿真命令是:

irun -64bit -sv top.v -sv_lib ./libdpi.so

这里注意通过添加仿真参数-sv_lib来指定是那个动态连接库。

,这样大功告成,不是很难吧。欢迎关注小编IC验证。

文章来源:智云一二三科技

文章标题:芯片验证中SV与C或C++之间DPI调用的两种调用方法

文章地址:https://www.zhihuclub.com/183594.shtml

关于作者: 智云科技

热门文章

网站地图